AD9361

2024/5/6 18:20:34

AD9361配置采用纯PL方式,QT编写的小软件可以快速实现

采用ADI官方的API函数,虽然能够快速的实现AD9361配置,让我们不必关注9361的内部寄存器的配置过程,但是在实际的项目开发过程中,也在一定程度上限制了AD9361与PL之间数据交互的灵活性。 今天给大家推荐采用AD9361官方提供的配置软…

FPGA 如何 固化程序到 FLASH中

1、导出Hardware 2、导出bit文件 3、打开SDK 4、 点击Ok 5、创建工程 6、 输入工程名称:guhua 7、选择 Zynq FSBL 8、单击 guhua、然后点击 build 点击:build all 9、 右键之后,点击:Creat Boot Image 10、点击 Cr…

zedboard+AD9361 运行 open WiFi

先到github上下载img,网页链接如下: https://github.com/open-sdr/openwifi?tabreadme-ov-file 打开网页后下载 openwifi img 用win32 Disk lmager 把文件写入到SD卡中,这一步操作会把SD卡重新清空,注意保存数据。这个软件我会…

AD9361 数据数字接口说明

一、简要 AD9361和BBP之间的数据接口以两种模式之一工作:标准CMOS兼容模式或低压差分信号(LVDS)兼容模式。本篇文章将简要介绍一下CMOS和LVDS工作模式下的数据具体的传输样式。 二、CMOS 工作模式 P0_D[11:0] and P1_D[11:0]: 端口0&#xf…

读书笔记:单载波频域均衡技术 SC-FDE

原文:https://mp.weixin.qq.com/s?__bizMzU5NzgxNDgwMg&mid2247486891&idx1&sn51e0dc3d28bcf356126dc8ae922f5533&chksmfe4cf6d6c93b7fc0237d4e2107b5671a401db8ed7a8527159ef18333d2b1f48c56b381f846ae&mpshare1&scene1&srcid0117h6K…

AD9361多片同步设计方法

本文基于ZC706FMCOMMS5的平台,介绍了多片AD9361同步的方法。并将该设计移植到自行设计的ZYNQ70354片AD9361(实现8路同步收发)的电路板上。本设计采用纯逻辑的方式,仅使用了ZYNQ芯片的PL部分。 9361多芯片同步主要包括基带同步和射频同步两大块任务。其中…

【基带开发】AD9361通信基础:复数乘法 除法

复数 是实数和虚数的组合 例子:3.6 4i, −0.02 1.2i, 25 − 0.3i, 0 2i 乘法 除法

【基带开发】AD9361 复乘 com_cmpy_a12_b12

IP核 tb_com module tb_com();reg ad9361_l_clk,rst; initial beginad9361_l_clk0;forever #4.545 ad9361_l_clk~ad9361_l_clk; end initial beginrst1;#9.09 rst0; end wire [63 : 0] m_fll_phase_shift_dout; // fll 输出 dout // FLL Phase Shift com_cmpy_a12_b12 FLL_P…

AD9361寄存器功能笔记之本振频率设定

LO的产生过程如图: 各个模块都有高灵活性。 1、参考时钟即是AD9361全局参考时钟,可以是外接晶振的片上DCXO,或是外部输入的有驱动能力的时钟信号。根据FM-COMMS5的设计,参考时钟可以使用时钟Buffer 40MHz晶振构成的参考频率源。 …

AD9361快速开发指南

AD9361是ADI(Analog Devices)公司推出的一款全集成的RF收发器芯片,广泛应用于无线通信系统,包括基于FPGA和ARM处理器的数码电视,卫星通信,雷达通信,军事通信和工业控制等领域。AD9361提供了广泛…

【基带开发】AD936验证数据源是连续的

五字节 %% 加载数据文件 BD_fileID fopen(rxdata3qpb.bin,r); % 获取 文件ID DATA_BD fread(BD_fileID,Inf,uint8); % 读取 文件数据 fclose(BD_fileID); …